Megválaszolatlan hozzászólások | Aktív témák Pontos idő: szomb. jún. 08, 2024 18:41

246 találat
Keresés a találatokban:

Keresés 
Szerző Üzenet

 Fórum: elektro   Téma: PIC kérdések

Elküldve: szomb. aug. 16, 2008 11:18 

Válaszok: 6769
Megtekintve: 892469

Dual monitor meg laptop az igazi. Egyik monitoron nézed az adatlapot meg böngészel, a másikon meg kódolsz.
Laptop - ha meg már unod a sok gép elötti görnyedést akkor ölbeveszed és kivonulsz a kerti hintaágyba és ott olvasgatsz v remote desktoppal/vnc-vel dolgozol tovább az asztali pc-n

 Fórum: elektro   Téma: PIC kérdések

Elküldve: szer. júl. 09, 2008 12:52 

Válaszok: 6769
Megtekintve: 892469

@Motohacker - este én is gondolkodtam és multiplexerre gondoltam
Valszeg hogy több pic is lesz használatban, mivel 4-es multiboardot kellene mérni.
@watt - tudnál adni támpontokat? Típust?

Előre is kösz.

 Fórum: elektro   Téma: PIC kérdések

Elküldve: kedd júl. 08, 2008 12:29 

Válaszok: 6769
Megtekintve: 892469

Arra mindenképp figyelj,hogy a tesztelendõ elektronika "elbírja-e" ha mindeféle hülye kombinációban 5V kerül a kivezetésekre. Nomeg azt sem ártana tudni,helyes mûködés etesén mekkora ellenállás mérhetõ a drótok közt. Mert ha nem csak szakadás,dióda,0ohm (zárlat) létezik,az ezt a mérési el...

 Fórum: elektro   Téma: PIC kérdések

Elküldve: vas. júl. 06, 2008 15:22 

Válaszok: 6769
Megtekintve: 892469

Egy egyszerű kijelző panelről van szó. Aminek a lábai között rengeteg a hajszál zárlat, és szeretném fejleszteni a tesztberendezést. Ami per pill abból áll, hogy bekapcsolják és ha a zárlat nem a tápkörben van hanem a VFD lábai között van akkor csak pixel hibát okoz, amit az operátor ...

 Fórum: elektro   Téma: PIC kérdések

Elküldve: szomb. júl. 05, 2008 21:47 

Válaszok: 6769
Megtekintve: 892469

Az egyik pontra kösd a PIC egyik lábát kimenetre állítva, a másik pontra pedig a PIC egy másik lábát bemenetre állítva. Ha a kimenet lábat magas szintre emeled a bemenet lábnak is magasra kell emelkedni, ha alacsonyra húzod, a bemenetnek is alacsony szintet kell mutatni. Ekkor rövidzár van közöttük...

 Fórum: elektro   Téma: PIC kérdések

Elküldve: szomb. júl. 05, 2008 6:44 

Válaszok: 6769
Megtekintve: 892469

Sziasztok, PIC-kel kellene megoldanom egy panel alkatrész szintű tesztelését tesztelését. Igazából pár komponensen kellene megnézni, hogy van e rövidzár illetve szakadás meg egy két diódát kellene megnézni, hogy jól van e ültetve. Dióda mérést feszültséggel megoldom, de rövidzár illetve a szaka...

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: kedd feb. 05, 2008 21:33 

Válaszok: 318
Megtekintve: 63917

Megoldottam, igazából nem tudom hogyan, de úgy tűnik, hogy ha az oncomm rutin nem szereti ha a bejövő adatot formázom is egyből.

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: kedd feb. 05, 2008 20:20 

Válaszok: 318
Megtekintve: 63917

Sziasztok, A következő dologgal szívok, hátha vki tudja a megoldást csípőből. Ha terminálból komunikálok egy SE T610-vel akkor a következő formában kapom a a válaszokat: AT+CGMI SONY ERICSSON OK ATI T610 series OK AT+CSCS="UTF-8" OK ATI3 T610 series Serial Modem OK Ha V...

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: kedd dec. 11, 2007 17:40 

Válaszok: 132
Megtekintve: 23839

@NickE
Nekem ilyen kábelem van 1-5-6 bekötéssel és még annó ezzel nyitottam és flasheltem a C45-ömet. (Ha emlékeim nem csalnak akkor ua a kábel jó M(T)50 hez is)
GPRS nethez másfajta kábel kell.

 Fórum: elektro   Téma: PIC kérdések

Elküldve: szomb. dec. 08, 2007 8:29 

Válaszok: 6769
Megtekintve: 892469

Próbáld meg ezzel a driverrel: http://www.obddiag.net/adapter/vcomhcp.inf

 Fórum: elektro   Téma: protel kérdés

Elküldve: vas. nov. 11, 2007 12:44 

Válaszok: 22
Megtekintve: 6163

sziasztok

Van egy proteles pcb tervem, ezt át tudná vki tenni nekem pdf-be.
Ill létezik protelből olyan trial version amivel lehet nyomtatni? Mert akkor megoldom magam v vmi viewer létezik ilyenekre?

Előre is köszönöm!

 Fórum: elektro   Téma: Telefonkártya

Elküldve: kedd okt. 30, 2007 23:12 

Válaszok: 14
Megtekintve: 2942

Ha már olyan sok chip/smart kártya szakértő van itt tudtok mondani oldalakat amik ehhez való programozókkal foglalkoznak?

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: vas. okt. 28, 2007 23:23 

Válaszok: 318
Megtekintve: 63917

Sziasztok Kicsit felhozom a topicot, mert nem tudok dűlőre jutni egy T610-el, talán ti tudtok segíteni. Az sms-eket a telefon memóriájában tárolja (többek között, no meg a sim-en is). Nekem az a problémám,hogy nem sorba jönnek az üzenetek indexei. at+cmgl=1 1,1,,160 ...pdu... +CMGL: 2,1,,1...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. aug. 20, 2007 8:03 

Válaszok: 914
Megtekintve: 145500

Én 1,8V -os CPLD CoolRunnerII -t szeretnék felprogramozni. (web pack megindult lefele. Már csak röpke 4 órácska) És ha jól ézem ez a III-as kábel csak 5 - 3,3 - 2,5 V-osakat képes programozni - mondjuk az is igaz hogy 74HC vel vannak szerelve Akkor hogyha megépítem a paralell kábel III -at 74lvcxxx ...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. aug. 19, 2007 22:39 

Válaszok: 914
Megtekintve: 145500

az a web pack 1 giga és most csordogál lefele.
Még egy kérdés Találtam egy kapcsolást az oldalán a parallel cable III. ezzel lehet programozni a LVCMOS -os procikat? V csak a parallel cable IV. tudja irni ezeket?

 Fórum: elektro   Téma: Eagle

Elküldve: vas. aug. 19, 2007 16:08 

Válaszok: 336
Megtekintve: 68907

duke írta:
Sonar-X írta:
Sziasztok,

egyedi tokozást hogyan tudok felvinni bele?
Mert van egy mikrovezérlõ aminek nem tartalmazza a doksiját és én szeretném vhogy definiálni
THX



Új alkatrész létrehozása az Eagle-ben http://www.hobbielektronika.hu/cikkek/cikk.php?id=54

Köszönöm, hasznos link

 Fórum: elektro   Téma: Eagle

Elküldve: vas. aug. 19, 2007 16:07 

Válaszok: 336
Megtekintve: 68907

potyo írta:
Ha itt nem találsz neked valót, akkor muszáj lesz rajzolnod egyet.


Itt kerestem először :)
de aztán mikor kerestem egy másik alkatrészt tök véletlen megtaláltam a standard library ban is csak a kereső nem hozta ki

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. aug. 19, 2007 16:03 

Válaszok: 914
Megtekintve: 145500

Tudnátok segíteni nekem?
J-tagon keresztül milyen programmal tudok felprogramozni Xilinx CPLD CoolRunner procikat?
Googlizok agyba főbe de vhogy nem találok semmi használhatót :cry:

 Fórum: elektro   Téma: Eagle

Elküldve: szomb. aug. 18, 2007 21:17 

Válaszok: 336
Megtekintve: 68907

Sziasztok,

egyedi tokozást hogyan tudok felvinni bele?
Mert van egy mikrovezérlő aminek nem tartalmazza a doksiját és én szeretném vhogy definiálni
THX

 Fórum: elektro   Téma: MP3 dekodoló IC?

Elküldve: szomb. jún. 09, 2007 20:08 

Válaszok: 168
Megtekintve: 26756

Sziasztok
Egy ilyen bevált CF to IDE kapcsolást tudnátok nekem adni?

 Fórum: elektro   Téma: "Amatőr" kérdések

Elküldve: pén. máj. 25, 2007 15:34 

Válaszok: 2562
Megtekintve: 480308

Tangot honnan tudnék szerzni?
Amit én találtam az dos-os volt és nem is volt hajlandó elindulni

 Fórum: elektro   Téma: "Amatőr" kérdések

Elküldve: csüt. máj. 24, 2007 22:28 

Válaszok: 2562
Megtekintve: 480308

Sziasztok

tudna nekem vki segíteni abban,hogy van egy pcb kiterjesztésű fájlom (tango - elméletileg) ezt át tudná vki nekem tenni mondjuk pdf-be?

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: kedd dec. 05, 2006 16:20 

Válaszok: 132
Megtekintve: 23839

Modemként használta már vki a telt?
Mert egy szimpla modemet kéne esetleg kivátani.

 Fórum: elektro   Téma: Autórádiók

Elküldve: kedd dec. 05, 2006 16:15 

Válaszok: 332
Megtekintve: 216397

Nagyon nagy help kéne!

Pioneer KEH-P6800 autórádiónak elszakadt az előlap és a rádió közötti szalagkábele.
Ha vki tudna szerezni v egy forrást ahonnan lehetne ilyet keríteni annak nagyon örülnék

Előre is kösz

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: kedd nov. 28, 2006 8:59 

Válaszok: 132
Megtekintve: 23839

Kód:
0x0D 0x0A

ha véletlen nem tudnád
:D

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: hétf. nov. 27, 2006 17:56 

Válaszok: 132
Megtekintve: 23839

http://pinouts.ru/CellularPhones-P-W/siemens_c25_s25_pinout.shtml http://pinouts.ru/CellularPhonesCables/siemens_cable_pinout.shtml Nézd át a fenti két link alapján a kábeled. Vmint a soros portodat, ajánlott kipróbálni néha egy másik gépen is :wink: A siemens - pc kommunikáció beállításai pedig 192...

 Fórum: elektro   Téma: FT245 használata

Elküldve: csüt. júl. 20, 2006 14:17 

Válaszok: 9
Megtekintve: 2327

Esetleg sample-t nem próbált vki rendelni?
És nem tudja vki, hogy itthon hol lehet a legolcsóbban ilyen ftdi ic-ket beszerezni?

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: csüt. ápr. 27, 2006 8:14 

Válaszok: 318
Megtekintve: 63917

Tudom én is mondom neki, de hát a lüke nem küldi. No mindegy akkor marad a polling v a rezgőmotor.
Ti a tápot hogy oldottátok meg?
Töltitek? Vagy figyelitek,hogy mikor csökken X százalék alá a battery aztán töltitek v folyamatosan kapja a feszt?

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: kedd ápr. 25, 2006 18:07 

Válaszok: 318
Megtekintve: 63917

Siemens C45

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: hétf. ápr. 24, 2006 13:16 

Válaszok: 318
Megtekintve: 63917

Nekem a RING se jött össze :( küld errort- busy-t de ha csörgetem akkor csak egy 0D -t oszt csókolom

 Fórum: elektro   Téma: flash irás

Elküldve: szer. ápr. 19, 2006 8:03 

Válaszok: 35
Megtekintve: 4783

Hm akkor most ezekszerint willempro-val lehet manuálisan Bios-t flashelni?

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: szer. ápr. 19, 2006 8:02 

Válaszok: 318
Megtekintve: 63917

Egy olyan kérdésem lenne, hogy azt ti miből állapítjátok meg,hogy éppen hívás van vagy érkezett egy sms? Pollingoljátok a telt? Én arra gondoltam,hogy esetleg a rezgőmotort kiveszem és az csinál nekem megszakítást valamelyik pic lábon. (megjegyzem, hogy még technikailag nem néztem utánna,h...

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: szer. ápr. 12, 2006 7:28 

Válaszok: 318
Megtekintve: 63917

NickE írta:
Tégy egy ; -t a végére és örülj! :D


Kösz!!!
Erre nem jöttem rá.

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: pén. ápr. 07, 2006 9:39 

Válaszok: 318
Megtekintve: 63917

Egy ponton meg vagyok akadva. Próbálgattam, hogy a telefonkönyvben lévő számot akarom felhívni, de a rohadt életnek se sikerül. ATD><N> - Ennyit ír a pdf, ahol n a telkönyvben lévő sorszám Én próbáltam ezer féle képpen de a c45 b*szik felhívni azt a számot. Valaki nem tudja a pontos szinta...

 Fórum: elektro   Téma: Biztonsággal kapcsolatos kérdések

Elküldve: kedd ápr. 04, 2006 13:08 

Válaszok: 31
Megtekintve: 2642

Nos látom, hogy nem igazán úgy fogalmaztam ahogy kellett volna. Természetesen értem amit Galfy írt meg a többiek. Nem is akarok mindenféle rádiós kütyüt barkácsolni. Jó nekem az a link is ahol ilyen cuccokat lehet beszerezni és olyan konstrukciót építek amilyenre szűkségem van. A bemutató terme...

 Fórum: elektro   Téma: Biztonsággal kapcsolatos kérdések

Elküldve: pén. márc. 31, 2006 15:22 

Válaszok: 31
Megtekintve: 2642

Kösz a részletes kiokatást Galfy. Mivel vidéken lakom, a rendőrségre való bekötésnek vajmi kevés értelme van. Meg a lakáson van riasztó. De van vagy 30 méter épület még amin nincsen semmilyen riasztó (garázs, bicajtároló, munkagép...) Ezekre gondoltam vmit. De majd inkább nézek vmit a boltokban...

 Fórum: elektro   Téma: Biztonsággal kapcsolatos kérdések

 Hozzászólás témája: Biztonsággal kapcsolatos kérdések
Elküldve: vas. márc. 26, 2006 0:53 

Válaszok: 31
Megtekintve: 2642

Egy ideje keresgélek a neten a témában, hogy hogyan is lehetne a lakás és egyéb épület védelmét megoldani. Tudom vegyek mozgásérzékelőt kamerát, kutyát... engem mondjuk az érdekelne, hogy láttam vmelyik cégnél lehetett kapni egységcsomagban vmilyen ultrahangos mozgásérzékelőt. Ennek nincs ...

 Fórum: elektro   Téma: mobiltelefon lehallgatás?

Elküldve: hétf. márc. 13, 2006 13:31 

Válaszok: 86
Megtekintve: 12889

Mint internet szolgáltató mondom, hogy ez így nem igaz! Folyamatos "lehallgatás" nincs, de szolgáltatói oldalról bizonyos dolgokat (de közel sem mindent!!!!!!!) naplózni kell , valamint biztosítani kell az NHH számára, hogy igény esetén (tehát nem állandóan) bele tudjanak "hallgatni&...

 Fórum: elektro   Téma: mobiltelefon lehallgatás?

Elküldve: vas. márc. 12, 2006 1:17 

Válaszok: 86
Megtekintve: 12889

Mint internet szolgáltató mondom, hogy ez így nem igaz! Folyamatos "lehallgatás" nincs, de szolgáltatói oldalról bizonyos dolgokat (de közel sem mindent!!!!!!!) naplózni kell , valamint biztosítani kell az NHH számára, hogy igény esetén (tehát nem állandóan) bele tudjanak "hallgatni&...

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: vas. feb. 26, 2006 2:21 

Válaszok: 318
Megtekintve: 63917

Aztán volt egy nyûgöm, mert elment a térerõ, ha rádugtam a PIC-et(a soros vonalak direkt voltak bekötve 100ohm-al.). Ezen az segített, hogy a PIC Tx vonalában megnöveltem az ellenállást 100-ról 470-re, és egy 2,7V-os zenert betettem utána(mivel a telcsi 3V-os elvileg). Ezután a térerõ is jó lett. I...

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: vas. feb. 19, 2006 1:28 

Válaszok: 318
Megtekintve: 63917

Watt: Ha bővebb infók érdekelne ajánlom látogass el az adott telefon develop site-jára. Én eddig csak a sony-ericssonét néztem. De ott dögivel van minden. Olyan 2-300 oldalas pdf-ben tárgyalják, hogy az új telók milyen AT parancsokkal kommunikálnak. Ezenfelül is találni pár érdekel dolgot. http...

 Fórum: elektro   Téma: AVR kérdések (ATMEGA)

Elküldve: csüt. feb. 09, 2006 11:20 

Válaszok: 280
Megtekintve: 126723

Üdv! Tudna nekem segíteni vki. Egy avr programozót szeretnék építeni nézegettem a lancos oldalát egész jó, csak nem igazán értem, hogy mi a különbség az AVR ISP with SI-Prog és az STK200/300 között? csupán ennyi?: You can connect directly to the target system (ISP) through the 10 pin connector, alte...

 Fórum: elektro   Téma: protel kérdés

Elküldve: pén. dec. 09, 2005 8:44 

Válaszok: 22
Megtekintve: 6163

akki írta:
küld el, megpróba

thx megy az email nél látható cimre.

 Fórum: elektro   Téma: protel kérdés

Elküldve: csüt. dec. 08, 2005 13:19 

Válaszok: 22
Megtekintve: 6163

Hali!

Van két proteles nyáktervem, nem tudná vki pdf-be átteni?

THX

 Fórum: elektro   Téma: Ismét Eagle

Elküldve: hétf. dec. 05, 2005 8:32 

Válaszok: 436
Megtekintve: 96759

Nem tudom a következő problémával találkozott e már vki: Leszedtem a legalizert, de nekem olyat csinál utánna, hogy össze vissza kuszálja a vezetékeket. A forrpontok meg az alkatrészek maradnak a helyükön, de a vezetékezést össze vissza b@ssza mikor újra megnyitom. Erre nem tudja vki mi a megol...

 Fórum: elektro   Téma: Ismét Eagle

Elküldve: szer. nov. 30, 2005 16:10 

Válaszok: 436
Megtekintve: 96759

KAMA3 írta:
Van benne dogivel, alapbol

con-subd.lbr

ha nem latod az Add panelen, akkor kapcsold be mellette a pottyot a Control panelen, hogy zold legyen.


Kösz így már müxik

 Fórum: elektro   Téma: Ismét Eagle

Elküldve: vas. nov. 27, 2005 0:34 

Válaszok: 436
Megtekintve: 96759

Azt nem tudjátok, hogy dsub 25 female csatlakozót hogyan tudnák létrehozni?
Esetleg vkinek nincs ilyen alkatrész listája?

sorry ha láma kérdéseket teszek fel, de még csak most ismerkedem a progival.

 Fórum: elektro   Téma: Ismét Eagle

Elküldve: kedd nov. 22, 2005 11:04 

Válaszok: 436
Megtekintve: 96759

A forrpontot hogyan tudom ic-nél kicsit hosszabbra venni? Hogy elnyujtott legyen ne pedig szabályos kör v sokszög.

 Fórum: elektro   Téma: Ismét Eagle

Elküldve: hétf. nov. 14, 2005 11:44 

Válaszok: 436
Megtekintve: 96759

Helló srácok vki nem tudna adni egy legalizert? Sehogy se birom letölteni sehonnan se.
Mailbe v egy linket vki THX
sonar [kukac]vipmail.hu

BIG THX

 Fórum: elektro   Téma: Katalógus

Elküldve: szer. aug. 03, 2005 14:02 

Válaszok: 7
Megtekintve: 1501

Kedves Sonar-X és Többiek! Milyen katalógust? A nagyobb-jobb gyártó cégek akár ingyen is küldenek, ha kéri valaki, CD-lemezen is, de áru-csoportonként biztos le lehet tölteni az adott pl. elektronikai alkatrészeket, tipuscsaládokat, amiben az adatlapjuk is ott van. Üdv! SMA Érdekelne engem ilyen eg...
Rendezés:  
Oldal: 1 / 5 [ 246 találat ]



Ugrás:  
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group.
Designed by ST Software for PTF.
Magyar fordítás © Magyar phpBB Közösség