Megválaszolatlan hozzászólások | Aktív témák Pontos idő: pén. jún. 07, 2024 6:39

211 találat
Keresés a találatokban:

Keresés 
Szerző Üzenet

 Fórum: elektro   Téma: VFD kijelzo -> info

Elküldve: vas. dec. 13, 2009 9:30 

Válaszok: 15
Megtekintve: 4049

A uC csinálta a vezérlést, tehát az újraindulást is, csere után már csak a saját programomtól függött, hogy mit csinál.

Üdv. Zoli

 Fórum: elektro   Téma: VFD kijelzo -> info

Elküldve: szomb. dec. 12, 2009 20:52 

Válaszok: 15
Megtekintve: 4049

Nálam ment az összes pixel. A uC be volt az idözitő ami a sor, oszlop meghajtókat vezérelte + irta a "display ramot". A megfeleő idöben, cimezte és kiolvasta a ram tartalmát a pixelekre. Hogy milyen szervezése volt, már nem emlékszem. 2004 körül foglalkoztam utoljára vele. uC nem kapcsolt ...

 Fórum: elektro   Téma: VFD kijelzo -> info

Elküldve: szomb. dec. 12, 2009 20:18 

Válaszok: 15
Megtekintve: 4049

Hali! Nekem BA végü volt. Kétsoros pénztárgépkijelző. Alul, középen egy négypólusú csatlakozója volt. Jó méretes példány, mármint a kijelző. Speciális protokolt használt. Valami egyszerü HW-es "titkositás" is volt benne. Én lecseréltem a mikrokontrollerét AT89S53-ra. Valami IBM feliratu 80...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: pén. nov. 07, 2008 18:21 

Válaszok: 914
Megtekintve: 145491

Hali ! Szerintem át kell nézni a fenti do scriptet, ha esetleg azt már nem csinálja meg és abból kiderül mit kell még belepátolni a szimulációs project-be. Aztán hozzáadni egy Simulation Configuration-t (right click Add project). Itt lehet megadni az időzitéseket tartalmazó file-t is (?.SDO, ?.SDF),...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. nov. 03, 2008 18:23 

Válaszok: 914
Megtekintve: 145491

Hali! Hol akarod a órajel periódusidőt megadni? A Modelsim-nél, vagy Quartus alatt a testbench waveformnál? A Quartus tbw.-nél lehet nsec-ben is megdni. Hát ha pl. a két process-ben állapotgép van, akkor lesz mindegyikben egy állapot, amikor végzett pl. sm_st1 <= DONE1, sm_st2 <= DONE2. A harmadik p...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szer. okt. 29, 2008 17:36 

Válaszok: 914
Megtekintve: 145491

Szia!

A buffer móddal lesz a gond szerintem, mert valahol olvastam, hogy a szimulátor nem szereti. Én még nem használtam.


Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szer. okt. 22, 2008 18:07 

Válaszok: 914
Megtekintve: 145491

Hali ! Először próbáld ezt ki szimulátoron: entity pipe is PORT ( Clk : in std_logic; Reset : in std_logic; A : in std_logic_vector(7 downto 0); B : in std_logic_vector(7 downto 0); OUT_1 : out std_logic_vector(7 downto 0); OUT_2 : out std_logic_vector(7 downto 0)...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: kedd okt. 21, 2008 19:47 

Válaszok: 914
Megtekintve: 145491

Az, hogy azt a mintát, ami a kamerából jön majd, most próbaképp ROM-ba teszed. Ha, kivezetnéd a top modul portjaira, akkor a forditó nem konstansokkal számol, hanem a port szélesség valamennyi kombinációjával.

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: kedd okt. 21, 2008 19:22 

Válaszok: 914
Megtekintve: 145491

Hali ! Nem jó elgondolás, egy minta ellenőrzésére konstansokat használni ROM segítségével (mintaként), főleg ha a cimzésbe hiba csúszik. Szerencsétlen esetben, konstans lesz a végeredmény is. A forditó nagyon következetes, ha valahol a jel nem változik onnantól konstans lesz minden ami utána van és ...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: kedd okt. 21, 2008 18:17 

Válaszok: 914
Megtekintve: 145491

Szia!

Én ModelSim alatt nem használtam még a Force-ot. Nem volt még szükségem rá. ?

X,U . A forditáskor keletkezett warningokat átnézted már. Az RTL, Technologie nézetben megnézted már az eredményt ? (Tools\Netlist Viewers).

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. okt. 19, 2008 20:48 

Válaszok: 914
Megtekintve: 145491

Nálam nincs ilyen hiba. Viszont a belső signalok nem látszanak, csak a top entitásé. A RESET jelet állitsd be korrektül. Amúgy nem sok történik a jelekkel. Először ne adj 1sec-es szimulációs időt meg. Ha elindul a szimuláció és hibák ezrei lesznek benne warningal ( nem volt reset-> egy halom X-es wa...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. okt. 19, 2008 19:39 

Válaszok: 914
Megtekintve: 145491

Szerintem akkor mikor inditod a szimulációt, Tool\EDA Simualtion Tool\ Run EDA Gate Level Simulation . Ezt a do scriptet a Modelsim számára készíti. transcript on if {[file exists gate_work]} { vdel -lib gate_work -all } vlib gate_work vmap work gate_work vcom -93 -work work ...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. okt. 19, 2008 19:18 

Válaszok: 914
Megtekintve: 145491

Esetleg, ha jól gondolom ebben van a hiba, vagy ide kötődik:

/simulation/modelsim/xxxx_run_msim_gate_vhdl.do

vagy

/simulation/modelsim/xxxx_run_msim_rtl_vhdl.do

A ModelSim elindul ?

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. okt. 19, 2008 18:37 

Válaszok: 914
Megtekintve: 145491

Hali ! Valami elirás lesz szerintem. "seven_vhd_vec_tst" , "i1" ezek kötöttek. a seven1.vht file-ban vannak. Ezek úgy generálódnak a Vector Waveform File-ból az exportálás során. A grafikusan szerkeszthető formából vhdl forrás lesz. Ha saját magad megirsz egy ilyen testbench vht-...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. okt. 12, 2008 17:09 

Válaszok: 914
Megtekintve: 145491

Szia ! A with-et vagy a processen kivülre teszed, vagy if, case-t használsz. A with, konkurrens értékadás. Hát, ezt is úgy kell szimulálni, mint a korábbi schematic-ost. De, e 70 sor alapján nem sok következtetést lehet levonni a sebességre vonatkozóan. Max azt, hogy később ennél mindig alacsonyabb ...

 Fórum: elektro   Téma: ADOK-VESZEK-CSERÉLEK

 Hozzászólás témája: VHF kézi adó-vevő
Elküldve: szomb. okt. 11, 2008 18:56 

Válaszok: 1465
Megtekintve: 574044

Hali ! Volna itt egy ismerösöm, aki szabadulna ezektöl: 3 db Maxon SL25-V2 1 db Maxon SL55- (V2) A 3 SL25-ös akkuval, az SL55-é nem jó. Töltő nincs. Bontósoké volt, használat látszik, kettőnél gumimenbrán szakadt a monitor gombnál. Az akksi 11000 Ft az SL55-höz. Csak 166.625 MHz-en müködnek a szelek...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szer. okt. 01, 2008 13:20 

Válaszok: 914
Megtekintve: 145491

Hali !

Na, ebbe most botlottam bele, VHDL alapismeretek, jegyzet. Egész jónak tűnik, kezdők számára.

http://www.bytestudio.hu/education/VHDLJegyzet.pdf

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. szept. 29, 2008 15:34 

Válaszok: 914
Megtekintve: 145491

Szia!

Elvileg, igen. Mivel, tudtommal nincs még hazai vhdl oldal. Csak, ahogy tapasztaltam, nem vagyunk túl sokan. De, megpróbálni meg lehet. Van egy fpga magyar oldal (www.fpga.hu/index.php), csak nem nagyon frissül, na meg inkább verilog.

Üdv. Zolu

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. szept. 28, 2008 10:21 

Válaszok: 914
Megtekintve: 145491

Hali !

Már belinkeltem korábban, de hátha elkerülte a figyelmedet és segithed, ha még nem ismered.

https://wiki.sch.bme.hu/pub/Villanyszak/TervezesProgramozhatoEszkozokkel/vhdl.pdf

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. szept. 28, 2008 8:29 

Válaszok: 914
Megtekintve: 145491

Szia! Eleinte, sőt még mostanában is, én is túlbonyolítottam a dolgokat, hiszen fogalmam sem volt a nyelv gyakorlati alkalmazásáról, fogásokról, egyszerűsítésekről. Aztán menet közben jön rá az ember, hogy ezt a bonyolult kódot egszerübben is le lehet irni. Persze, ehhez jó sokat tanulmányoztam, más...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. szept. 27, 2008 21:20 

Válaszok: 914
Megtekintve: 145491

Hát, jónak tünik, ha igy akarod, csak pl. a SRAM vezérlés elnagyolt. Nincs WE-nek reset érték adva. Csak egyszer bekapcsolod, aztán úgy is marad. Nem warningol a forditó, hogy constans vagy valami? Miért a xor értéket tárolod? Az még nem a hamming, ha jól tudom. Előbb meg kell számolni a biteket, ho...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. szept. 27, 2008 20:11 

Válaszok: 914
Megtekintve: 145491

Mondjuk, ebben az egyszerű esetben zavaró lehet, hiszen egy bittel is lehetne váltani a két állapot között, de úgy ollóztam meglévő forrásomból, + ha később bőviteni kell az állapotokat, akkor ez a kezelhetőbb forma. Az állapotokhoz beszédesebb neveket is lehetne használni, de ez már adott volt és l...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. szept. 27, 2008 19:36 

Válaszok: 914
Megtekintve: 145491

Hali ! Az első egy felsorolt tipust definiál, mint a C-ben, csak nem kell használni az enum-ot. A compiler a felsorolásból tudja, hogy az. A második létrehoz egy signal-t ezzel a tipussal. A felsorolt tipus elemeihez egy-egy bitkombinációt rendel, attól függően milyen FSM enkódolást opciózol a szint...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. szept. 27, 2008 15:28 

Válaszok: 914
Megtekintve: 145491

Hali ! Hát, nekem ez a schematic túl komplikált. Szerintem, te is jól járnál ha forrás szinten tudnád irni. Én csak a VHDL forrásban tudok gondolkodni. A schematic-ban is elboldogulnák, de sokkal jobban hozzá vagyok szokva a HDL-hez. Megpróbáltam valamit összeütni forrás szinten, hátha kedvet kapsz ...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. szept. 27, 2008 13:09 

Válaszok: 914
Megtekintve: 145491

A másik memória, az a másik 20 mintás ROM pattern akar lenni ?

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: pén. szept. 26, 2008 20:24 

Válaszok: 914
Megtekintve: 145491

Én azt hiszem nagyjából értem. Tovább ?

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: pén. szept. 26, 2008 19:29 

Válaszok: 914
Megtekintve: 145491

Szia Tebee !

Szerintem ird ide, hogy miben kellene segítség és aki tud, az fog segíteni. Hiszen ezért is van ez a forum. Nem ? Különben is, több szem többet és másféleképpen lát. Ha olvastad a korábbi üzenetek, láthattad, hogy forrást is lehet beidézni. Úgyhogy hajrá.

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. szept. 08, 2008 19:04 

Válaszok: 914
Megtekintve: 145491

Hali ! Én per-pillanat mással vagyok nagyon elfoglalva, lakásátrendezés, helyiségek kiiktatása a fütésből, költözés stb..... Sajnos a havi mérlegem kezd negativba fordulni :( . A betervezett, megálmodott Altera boardból sem lesz semmi. Meg a másikból sem. :( . Egyelőre. Na, ez sem FPGA volt. Bocs. H...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. aug. 10, 2008 11:34 

Válaszok: 914
Megtekintve: 145491

Ja, project név nálami is maradt 18 .

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: vas. aug. 10, 2008 11:30 

Válaszok: 914
Megtekintve: 145491

Szia! Új üzenetbe irj, mert igy nem kapok értesítést, ha csak módosítasz. A "seven1.vht" -ben is ez a név szerepel "seven_vhd_vrc_tst" ? Nem elirás ? Én nálam az exportra "seven_vhd_vec_tst"-t generál a forrásba. Idönként érdemes a generált forrásokat is tanulmányozni, ...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: csüt. aug. 07, 2008 20:04 

Válaszok: 914
Megtekintve: 145491

Szia ! Alaptanfolyamot sajnos én nem tudok tartani, nem vagyok szakember, csak hobbi ez nekem. Kimeneti freki. Minek a kimeneti frekije ? Az előosztóé ?. Az sajna szerintem felejtős. Vagy mégsem? Xilinx-es tapasztalataim alapján ekkora hosszú waveformot képtelenség csinálni (bár, nem ilyen egyszerü ...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szer. júl. 30, 2008 18:01 

Válaszok: 914
Megtekintve: 145491

Szia !

Ha, a RESET undefined, akkor borul minden. Adtál neki gerjesztést ?

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: kedd júl. 29, 2008 14:50 

Válaszok: 914
Megtekintve: 145491

Szia !

Mi a probléma a license-el ? Ird le bővebben, hátha tudok segiteni.
A Modelsim-ével van probléma ?

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. júl. 28, 2008 20:36 

Válaszok: 914
Megtekintve: 145491

Hali Tebee!

ModelSim-Altera

http://www.altera.com/products/software/products/model/eda-ms.html

Quartus-ban van rá menüpont a használathoz.

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. jún. 23, 2008 19:04 

Válaszok: 914
Megtekintve: 145491

Szia Imi! Nincs kit-em, én is még csak szemezgetek vele. A Software-t is csak azért ügyeskedtem fullra, hogy ha mégis adódna valami, akkor ez is ott van e mint lehetőség. A 150 napossal sokszor forditottam nem volt gond az említett LE-ig. Csak azért próbáltam, mert amivel kisérleteztem és egyre több...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. jún. 23, 2008 18:11 

Válaszok: 914
Megtekintve: 145491

Hali ! A weblapon minden fent van, a low cost FPGA,CPLD-ket támogatja ( és még néhányat felette), de néhány opció nem müködik (meg kell nézni melyik). 150 nap után meg kell újítani a licenset. Hogy hányszor lehet, nem tudom. Nekem 7.2 SP2 van fent (Full), de a 150 napossal is fordítottam kb 2700 LE-...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. jún. 21, 2008 19:09 

Válaszok: 914
Megtekintve: 145491

Hali ! Nekem egyszer ON-semi IC kellett, EBV a distr. Felhivtam őket, azt mondták csak kereskedő partneren keresztül kaphatom meg a cuccot. A RET KFT-t ajánlották. Meg is kaptam amit akartam, igaz egy csövet meg kellett venni. Meg kell kérdezni a RET-eseket hátha rámozdulnak az Alterára. Bár a RET s...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. jún. 21, 2008 16:06 

Válaszok: 914
Megtekintve: 145491

Szia. Melyik jellemző alapján tudod a kettőt összevetni ? Én a "EP3C10E144C7N" típust találtam hasonló árban (€17.74), ezekben 10320 LE található. Az LE minek felel meg a Spartan-ban ? Még az "EP3C25" (24624 LE) is van TQFP144-ben (€33.69). Imi. Hát, valóban csak öss...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. jún. 21, 2008 12:11 

Válaszok: 914
Megtekintve: 145491

Ezek a Dev. Kit-ek vannak:

http://export.farnell.com/jsp/search/browse.jsp?N=500005+1002545&Ntk=gensearch_001&Ntt=Cyclone&Ntx=

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. jún. 21, 2008 12:07 

Válaszok: 914
Megtekintve: 145491

jym írta:
Sziasztok

Egyébként Altera-t ki forgalmaz nálunk ?

Imi.


Én olyanról, hogy raktárról tudnának adni, nem tudok. De az fdh.hu hozza a Farnell cuccait, én ott szoktam nézelődni. Pl. egy Spartan3E 500 -asnak megfelelő 65nm-es CycloneIII - EQFP144 4680 Ft+afa.

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: csüt. jún. 19, 2008 17:43 

Válaszok: 914
Megtekintve: 145491

Hali !

Szerintem, kell rakni mindkét portra egy FIFO-t. Sacc,kb 16 byteos szerintem elég, de ha nem, akkor egyszerüen növelhető.

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: hétf. jún. 09, 2008 18:59 

Válaszok: 914
Megtekintve: 145491

Hali !

Ez vhdl és nem tudom, hogy jól működik e.
Még nem használtam.



http://www.digilentinc.com/Data/VHDLSource/Serial_UART/UART_Component.zip

Üdv. Zoli

 Fórum: elektro   Téma: ADOK-VESZEK-CSERÉLEK

Elküldve: pén. máj. 23, 2008 13:18 

Válaszok: 1465
Megtekintve: 574044

Hali ! Eladó: Nem használt MM 1000uF 25V 45x16 axiális .......... http://web.t-online.hu/ampeethe/1000U25.JPG 1000uF 40V 55x21 axiális http://web.t-online.hu/ampeethe/1000U40.JPG Használt cuccok: CELDUC SSR SF541310 10A 12-280VAC 4-30VDC Zero-cross, "FASTON" terminals SD 541 100 10A 240VAC...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. máj. 10, 2008 21:31 

Válaszok: 914
Megtekintve: 145491

Hali ! Akkor kell az RGB értékeket a colormap-ből olvasni amikor a shiftregiszterbe be lesz töltve. losr_ld <= '1' when (chrx = 007) else '0'; tehát a chrx előbb elszámol a legutolsó pixelig a karakterhelyen és ekkor tölti be a kővetkező 8 pixel-t. Amit előzőleg mondtam módosítást, vissza kell állit...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. máj. 10, 2008 19:39 

Válaszok: 914
Megtekintve: 145491

Ja, most jutott eszembe, hogy meg kell nézni a sor végén a legutolsó karaktert, hogy nem e harapja le az utolsó pixelt a blanking, mivel a pixelek most egy órajelet késnek.

Üdv, Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. máj. 10, 2008 15:49 

Válaszok: 914
Megtekintve: 145491

Hali Gtk! Már másik forumban jeleztem, hogy egy órajelcsúszás van valahol. Erre ird át: -- segédjel egy ciklus késleltetéshez signal losr_do_1 : std_logic; ... ... -- valahova beszúrni a modulon belül elsif rising_edge(clk25MHz) then losr_do_1 <= losr_do; ...... ........ y <= (yint and curpos and cu...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. márc. 15, 2008 22:22 

Válaszok: 914
Megtekintve: 145491

Ok vettem, már válaszoltam is.

Üdv. Zoli

 Fórum: elektro   Téma: FPGA programozás

Elküldve: pén. márc. 14, 2008 13:08 

Válaszok: 914
Megtekintve: 145491

Hali MH ! Érdekel a dolog, de nem tudok határidőket tartani. Jön a tavasz, nyár, ilyenkor van szezonja a gép hibáknak (meleg). Na meg a termelés is inkább tavasszal van mindig felfutóban. Ilyenkor mindig, minden azonnalra kell. Ha gond adódik, akkor mennem kell, mindent félretéve. Na, meg néha egyed...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: csüt. márc. 13, 2008 20:44 

Válaszok: 914
Megtekintve: 145491

Hali ! Én csak annyit tudok hozzászólni ( mivel még én is kezdő vagyok ), hogy nem éppen ideális egy ilyen projekt mindjárt kezdésnek. Bár, én is mindjárt egy konkrét elképzelés megvalósítása közben tanultam az alapokat, de az talán nem volt ilyen bonyolult. A TFT vezérlésnél le lehetett bontani kis...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szomb. márc. 08, 2008 16:26 

Válaszok: 914
Megtekintve: 145491

Az XC95288XL-TQ144 - be belemegy, csak a Input és a Pterm limit-et vissza kell venni.

Input 32
Pterm 16

Ára van a dolognak , nő a felhasznált MC-k száma.

Üdv. Zoli
Rendezés:  
Oldal: 1 / 5 [ 211 találat ]



Ugrás:  
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group.
Designed by ST Software for PTF.
Magyar fordítás © Magyar phpBB Közösség