Megválaszolatlan hozzászólások | Aktív témák Pontos idő: pén. jún. 07, 2024 2:27

351 találat
Keresés a találatokban:

Keresés 
Szerző Üzenet

 Fórum: elektro   Téma: DSP-k

Elküldve: pén. dec. 21, 2007 15:18 

Válaszok: 9
Megtekintve: 3157

hát ez nem rossz. én pár éve DSP-ztem, akkor nagyon jól jött volna. gondolom nem 100 $ mint a spectrum digital által gyártott eredeti. már nem DSP-zek, inkább x86 processzorok meg PC alaplap, és csak hardver tervezés érdekel. a honlapom is elköltözött: az extra.hu -ra a cjb.hu-rol. (nem tetszettek a...

 Fórum: elektro   Téma: DSP-k

Elküldve: szomb. szept. 01, 2007 16:46 

Válaszok: 9
Megtekintve: 3157

külső flash-t akarsz felprogramozni? én legutóbb így csináltam: [ARM9-CPU]--------[CPLD]-------[Flash] majd universalscan progival programoztam, meg a xilinx jtag kábellel a flash-t. valószínűleg a TI DSP-nek a jtag portjával is működésbe lehet hozni, van rajta extest-boundaryscan mód, csak a spéci ...

 Fórum: elektro   Téma: DSP-k

Elküldve: pén. aug. 31, 2007 19:16 

Válaszok: 9
Megtekintve: 3157

a saját tervezésű cuccom az nem egy jtag debugger, hanem egy fejlesztőkártya jtag nélkül, c54xx-es dsp-hez. ami neked kellene, az sok embernek kellene, egy kpcsirajz az xds510-hez, de erről mindenki csak álmodik. c2000-nél is el lehet kerülni a jtag használatát, ha soros porton programozod. a spectr...

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: pén. aug. 17, 2007 15:58 

Válaszok: 167
Megtekintve: 40309

ilyet ne is keress.
gerber fájlokból gyártanak a gyártók. generálj gerber fájlokat, meg nc drill fájlt.
minden tervezőrendszernek gerber (meg pár egyéb) formátum a kimenete. ez nem a pcb fájl, hanem a gyártási fájlok, tervezés befejezése után generáljuk.

 Fórum: elektro   Téma: forrasztós cégek.

Elküldve: csüt. aug. 16, 2007 15:37 

Válaszok: 41
Megtekintve: 7641

0.25mm-es raszterű QFN??? ahhoz 0.125mm-es raszterű panel kell

 Fórum: elektro   Téma: forrasztós cégek.

Elküldve: kedd júl. 10, 2007 17:58 

Válaszok: 41
Megtekintve: 7641

sok "tervező" van a szakmában akik nagyon amatőrök, még az idősebbek között is. szóval könnyen előfordulhat hogy ilyen gyártási dokumentációt kapsz. pedíg szerintem minden tervezőrendszernek megvannak a szabvány kimenetei: gerber, nc-fúró, pick-and-place. ezeket bárkitől el lehet várni. a ...

 Fórum: elektro   Téma: forrasztós cégek.

Elküldve: kedd júl. 10, 2007 7:44 

Válaszok: 41
Megtekintve: 7641

hi miért nem cnc-vel fúrjátok? vagy furatjátok más céggel? áramkörtervekből nem tól nehezen lehet fúrófájlt generálni ilyesmihez. én így csináltam: az áramkörben az összes through-hole viát és through hole pad-et töröltem, tehát minden furatos dolgot. majd a maradék smd pad-eknél elállítottam a fura...

 Fórum: elektro   Téma: forrasztós cégek.

Elküldve: kedd júl. 03, 2007 13:08 

Válaszok: 41
Megtekintve: 7641

hi. melyik belga ceg? en belgiumban elek, konnyen osszefuthatok veluk.... a kis 8x8cm-es paneledet kb 5000-8000Ft./db Aviatronik nem kezi holegfuvoval meg csipeszel dolgozik? albacomp-nak van rontgenje is, lehet komoly ceg. melyik ceg hasznal fine-placer-t? (kezi csipeszes szorakozas helyett) esetle...

 Fórum: elektro   Téma: forrasztós cégek.

Elküldve: hétf. júl. 02, 2007 20:25 

Válaszok: 41
Megtekintve: 7641

hi.

Albacomp-pal van valakinek tapasztalata?
sokkal kevesebbet kérnek (árajánlat) mint a szem kft, és ez nekem gyanús.

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: hétf. jún. 18, 2007 18:19 

Válaszok: 167
Megtekintve: 40309

ki? akit korábban ajánlottál, csillebércen vagy hol... (KFKI?) ők nem válaszoltak az emailjeimre régebben. tehát nekem így nem tűnnek megbízhatónak. bizonyos szinttől nem lehet elkerülni a bégéákat. mi szem káeftével fogjuk csináltatni. láttam volt tanáromnak áramkörét, tömve mikrobégéákkal, szemék ...

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: vas. jún. 17, 2007 16:57 

Válaszok: 167
Megtekintve: 40309

a belga meg egyéb rendeléseket is mindet egerben gyártják, ugyanazokon a gépeken, ugyanazok az emberek. a hitelap volt az, aki 4 hónapot késett, miközben hitegettek hogy: "már elküldtük, ja bocs másnak ment, ja mégse küldtük el, ja el se kezdtük..." ebbe a fejlesztésbe ez nem fér bele. amú...

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: vas. jún. 17, 2007 14:04 

Válaszok: 167
Megtekintve: 40309

lehet mégis ki tudom szedni a blind via-kat.
menyivel lenne olcsóbb? 1% ? 50% ?

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: vas. jún. 17, 2007 13:02 

Válaszok: 167
Megtekintve: 40309

sajnos nem lehet kerülni. kaptam egy másik ajánlatot al alcatel-től, 2500 euro. drága, de kb fele az előző cég árának. (még úgy is hogy ők nyugat európai munkabért meg ingatlat meg rezsit fizetnek, mint költség) van egy olyan érzésem, hogy nekünk direkt drágábban adják, mert nyugat európai cég vagyu...

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: szomb. jún. 16, 2007 22:08 

Válaszok: 167
Megtekintve: 40309

az egymillió forintos áramkör.

a kedvenc egri cégünktől árajánlatot kértem egy 28x12cm-es 8 rétegű zsákfuratos panelra. egyedi stackup. 2db.
számítottam rá, hogy drága lesz, na de egy millió?????? azt írták 4000 euro. lehet hogy mert a cégünk (én is) nyugat-európában van?

 Fórum: Unix   Téma: Laptora UHU-t, de hogyan?

Elküldve: hétf. feb. 05, 2007 22:34 

Válaszok: 6
Megtekintve: 3559

"telepítőben is ki lehet kapcsolni" én kikapcsoltam, és nem is fagyott a telepítés elején mostmár, csak a telepítés végén. A felhasználónevek, ethernet meg hasonlók beállítása után. Ez a SUSE linux volt. ez is acpi-gond? ha kikapcsolom a bios-ban az acpi-t, akkor mivel fog kevesebbet tudni...

 Fórum: Unix   Téma: sorosport

Elküldve: vas. jan. 28, 2007 22:27 

Válaszok: 11
Megtekintve: 25332

kösz.

de honnan szerzem ezt be? vagy eleve benne van minden linux drisztribúcióban?

 Fórum: Unix   Téma: Asus A6M laptop + milyen linux

 Hozzászólás témája: Asus A6M laptop + milyen linux
Elküldve: vas. jan. 28, 2007 17:07 

Válaszok: 3
Megtekintve: 2728

hi. Ki használ Asus A6M laptopot Linux-szal? milyen linuxot érdemes feltenni, hogy ne legyen semmi problémám? Én leginkább csak beágyazott szoftverfejlesztésre használnám. van uClinux toolchain a procihoz, amire fejlesztenék. Kell eclipse, minicom, meg rpm telepítő. persze párhuzamos (pcmcia), soros...

 Fórum: Unix   Téma: sorosport

 Hozzászólás témája: sorosport
Elküldve: vas. jan. 28, 2007 16:33 

Válaszok: 11
Megtekintve: 25332

vettem egy USB-sorosport átalakítót, mert a laptopomon nincs sorosport, nekem meg szükségem van sorosportra. az illető telepítő CD-jén ez van:windóz driverek, meg Red Hat 7, 8, 9 driver. Nekem Fedoral linuxom van, ez nem Red Hat 9, de gondoltam megpróbálom feltenni. -> error. ismertek olyan usb-soro...

 Fórum: Unix   Téma: windooz reinstall utan a meglevo linuxom hogy erheto el?

Elküldve: vas. jan. 28, 2007 16:28 

Válaszok: 5
Megtekintve: 4742

én nem pakoltam sehova. csak gondolom hogy odapakolta magát. ezek szerint nem helyesen. van 1db 20gigás a windóznak, 1db 5gigás a linuxnak, 2G linux swap, 30GB windows fájlok-2(filmek...) . ha jól látom valami 100MB boot partíció van, meg az 5GB root fs. ez a 2 látható a linux alól. "Windows bo...

 Fórum: Unix   Téma: windooz reinstall utan a meglevo linuxom hogy erheto el?

Elküldve: vas. jan. 28, 2007 15:34 

Válaszok: 5
Megtekintve: 4742

hi. windooz reinstall utan a meglevo linuxom hogy erheto el? a windows telepito felulirja a master boot recordot, tudom. hogy lehet a master boot-record-ba boot managert visszatenni anelkul hogy a linuxmat is ujra kellene telepitenem? a windows-t ujra kell tenni 3-4 havonta, igy a problema felmerul ...

 Fórum: Unix   Téma: suse linux 10.2 telepiteskor fagy

Elküldve: hétf. jan. 15, 2007 16:51 

Válaszok: 8
Megtekintve: 4053

a weboldalon ugyanez a checksum van, tehat az iso fajlom helyes.

 Fórum: Unix   Téma: suse linux 10.2 telepiteskor fagy

Elküldve: hétf. jan. 15, 2007 10:16 

Válaszok: 8
Megtekintve: 4053

kosz.
ellenoriztem a checksum-ot, az eredmeny: 12B0F2FABB4D41586601F787D33EBC34 *openSUSE-Linux-10.2-GM-DVD-i386.iso

ez jo vagy rossz?

a telepitoben volt olyan hogy check firmware, az jelzett 6 error-t, meg par warning-ot.

 Fórum: Unix   Téma: suse linux 10.2 telepiteskor fagy

Elküldve: szomb. jan. 13, 2007 19:04 

Válaszok: 8
Megtekintve: 4053

nem próbáltam más gépen, de ezen a gépen kellene működnie. az író 1 hónapos, eddíg nem volt gond vele. amúgy a telepítés befejezéséhez nem kell a dvd, anélkül csinálja, egy két beállítást csinál, pl felhasználók, net... ilyen post-install dolgok. ha a dvd-vel gond lenne, jelzett volna a telepítés fá...

 Fórum: Unix   Téma: suse linux 10.2 telepiteskor fagy

Elküldve: szomb. jan. 13, 2007 17:48 

Válaszok: 8
Megtekintve: 4053

kösz.

biztonságos módban már az elején lefagy.
a DVD vadi új, nem karcos.

 Fórum: Unix   Téma: suse linux 10.2 telepiteskor fagy

 Hozzászólás témája: suse linux 10.2 telepiteskor fagy
Elküldve: szomb. jan. 13, 2007 0:05 

Válaszok: 8
Megtekintve: 4053

suse linux 10.2 telepiteskor fagy. ezzel mit csinaljak? az elejen fagy, ha ACPI-t engedelyezve telepitek, hat ezutan ACPI nelkuli telepitest valasztottam. igy viszon a legvege elott, az utolso lepesnel fagy le: hw config, majd szovegesmodba ugrik, start yast2 vagy ilyesmi. a gepem egy asus A6m lapto...

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: csüt. máj. 25, 2006 13:48 

Válaszok: 167
Megtekintve: 40309

PCB: elekterv: http://www.elekterv.hu con-tech: http://www.contech.hu hitelap: http://www.hitelap.hu europrint: http://www.europrint.hu pcb.hu: http://www.pcb.hu ett.bme: http://www.ett.bme.hu satronik: http://www.satronik.hu/ turbo plus: http://www.turboplus.hu/ auter kft Budapest http://www.auter....

 Fórum: elektro   Téma: ólomzselé akksi

Elküldve: hétf. máj. 08, 2006 12:06 

Válaszok: 32
Megtekintve: 5279

gyakori a kisebb elektronikai boltokba, hogy ilyesmiért hülyének néznek. már megszoktam.
pl kisvárosban boltba be, kérek 220nF-os kerámiakondit. erre fennhangon kioktat, hogy olyan nem is létezik. (a Lomexben 10uF-os is van. nem 10nF)

 Fórum: elektro   Téma: ólomzselé akksi

Elküldve: pén. máj. 05, 2006 16:12 

Válaszok: 32
Megtekintve: 5279

"Szamtalanszor elmondtuk mar hogy ..." bocs, de nem olvasom minden nap a fórumot más dolgom is van. ha fáraszó írni, ne írj. amúgy meg a fórum nem könyvtár, hanem beszélgető-hely. "Sealed lead acid battery= Kötött savas ólomakkumulátor. " ok. a boltosok szokták úgy hívni hogy ólo...

 Fórum: elektro   Téma: ólomzselé akksi

 Hozzászólás témája: ólomzselé akksi
Elküldve: pén. máj. 05, 2006 13:49 

Válaszok: 32
Megtekintve: 5279

hali. Hány voltig lehet tölteni egy ólomzselé akksit? (sealed lead acid battery, mindenütt árulják, zöld+fehér színű) Töltés közben max hány voltig engedhetem a töltést? töltés után max feltöltve meddíg esik le a fesz? Tehát amikor leveszem a töltőről. Ha pl 14V-og lehet, akkor mondjuk 14,5V-nál tön...

 Fórum: elektro   Téma: ADC mintavétel

Elküldve: hétf. márc. 20, 2006 18:42 

Válaszok: 39
Megtekintve: 9147

kipróbáltam. 100p...100nF.
amúgy meg úgyis újra kell terveznem, mert kell egy másik példány is. Majd a jobbikat megtartom.

Pár nap múlva fogok ezzel érdemben foglalkozni csak. (nyelvvizsga előtt már nem kéne szombatig ezzel töltenem az időt)

 Fórum: elektro   Téma: ADC mintavétel

Elküldve: hétf. márc. 20, 2006 15:03 

Válaszok: 39
Megtekintve: 9147

na itt vagyok. Sajnos amikor terveztem nem gondoltam még kis impedanciás meghajtásra. (opamp, aktív szűrő) Így nagy impedanciás passzív szűrőkről hajtom meg. Amúgy az 1/2LSB -s időállandót így is jóval túlteljesítem. Most hirtelen nem tudom, de párszor 10ns kell így is. Rs=10kOhm. Jel: f<5kHz, Fs=10...

 Fórum: elektro   Téma: ADC mintavétel

Elküldve: pén. márc. 17, 2006 13:56 

Válaszok: 39
Megtekintve: 9147

ok. kösz. a setting time-ot próbáltam 1x...10x betartani. Az a bajom, hogy rossz ertekeket mer az ADC-m, ami ráadásul függ az időzítési beállításoktól. pl.: FS/2 körüli offszetet ad a jelhez (ADC-CLK=25MHz esetén offszet=45%, 12,5MHz esetén 60%...), az alsó 3 bit mindig nulla, néha pár mintában az t...

 Fórum: elektro   Téma: ADC mintavétel

Elküldve: csüt. márc. 16, 2006 22:45 

Válaszok: 39
Megtekintve: 9147

mit kellene számolnom?? tanultam számolni az általános iskolában. Mivel az ADC bemenetén 10pF (TMS320F2811 ADC-je) van, ezért ha tovább tartom nyitva, az a zajokat nemnagyon integrálja ki. Az ADC beállási időállandója n*10ns. nincs 10000uF benne. Az 5kHz-es jelemnek meg 50Hz-es zajt nem átlagol ki s...

 Fórum: elektro   Téma: ADC mintavétel

 Hozzászólás témája: ADC mintavétel
Elküldve: csüt. márc. 16, 2006 19:01 

Válaszok: 39
Megtekintve: 9147

Hi!

Szerintetek jó az ha egy ADC-vel (sokkal) kevesebb ideig veszek mintát, mint a mintavételek közti idő?
konkrétan 10kHz a mintavételi frekvencia. Azaz Ts=100us, de én csak 680ns ideig engedem ra az ADC-re a bemeneti analóg jelet (Aquisition time). ~100us-ig kellene? Ezek programozható értékek.

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: szer. márc. 01, 2006 20:43 

Válaszok: 167
Megtekintve: 40309

ismét kösz Ros-Co.

 Fórum: elektro   Téma: NYÁK gyártás

Elküldve: szer. márc. 01, 2006 16:42 

Válaszok: 167
Megtekintve: 40309

olyankor mi van, ha a pcb.hu-ra küldött e-mail-emre semmi reakciót nem kapok? kétszer írtam nekik. egy hete, meg ma. vagy csak szóban állnak szóba velem, írásban nem méltóztatnak? amúgy van más olcsóbb cég pesten, aki nem számít fel kezelési költséget, előkészítési költséget, "szóbaállunk önnel...

 Fórum: elektro   Téma: HOL KAPHATÓ???

Elküldve: pén. feb. 17, 2006 15:31 

Válaszok: 1554
Megtekintve: 265496

Hali! Nekem most egy olyan csatlakozó kellene, mint ami a PC alaplapokon is van: 1-ben, fémházban: -ethernet csatlakozó aljzat -10/100 ethernet trafó -2db A-típ USB aljzat (2.0) régebben valaki emlegetett egy céget, akik csatlakozókkal foglalkoznak, és rendelésre is beszereznek valamelyik nahy nemze...

 Fórum: elektro   Téma: HOL KAPHATÓ???

Elküldve: vas. jan. 22, 2006 14:35 

Válaszok: 1554
Megtekintve: 265496

kösz ros-co, te mindent tudsz! :)

amúgy jó pénzért adják, majdnem egy ezres áfával.

 Fórum: elektro   Téma: HOL KAPHATÓ???

Elküldve: vas. jan. 22, 2006 13:08 

Válaszok: 1554
Megtekintve: 265496

ja, mostmár van pesten is.

és hol lehet kapni smd kvarc-oszcillátorokat? (27MHz kicsit, olcsón)

 Fórum: elektro   Téma: FPGA programozás

Elküldve: pén. jan. 20, 2006 14:19 

Válaszok: 914
Megtekintve: 145490

valahol azt írták, hogy használjuk mindig a legújabb drivereket a letöltéskor. Látom te ISE6-ot használsz. van már 8-as is. az esetek 98%-ban nem ez a gond. a chipeket újra és újra módosítják a gyártók (revision-A, revision-B...) Lehet hogy újabb a chiped mint a progid. Az IDCODE utasítás szabvány j...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: pén. jan. 20, 2006 1:12 

Válaszok: 914
Megtekintve: 145490

lehet rosszul tudom, de az .mcs fájl az valami memória fájl. Az impact-tal .BIT fájlt esznek az FPGA-k, talán még a PlatformFlash-ek is.

 Fórum: elektro   Téma: FPGA programozás

Elküldve: csüt. jan. 19, 2006 23:41 

Válaszok: 914
Megtekintve: 145490

nincs valami olvasmányotok (pdf) ilyesmi logikai tervezérről? pl nekem nem voltak triviálisak az ilyenek korábban, hogy clk-t nem kapuzzuk, aszinkron hurok nem jó ...stb. tanultam a BME-n digit1-et (Aratónál), digit 2-t, olvastam logterv jegyzetet (nem beágyas vagyok), de ott nem tanították ezeket a...

 Fórum: elektro   Téma: HOL KAPHATÓ???

Elküldve: csüt. jan. 19, 2006 20:35 

Válaszok: 1554
Megtekintve: 265496

megnéztem. van olyan ami jó lenne, pl 4db 0603as 1-ben, 4Ft/db
csak ez szegeden van tudtommal, vagyis +1500Ft postaköltség.
pesten nincs?

 Fórum: elektro   Téma: HOL KAPHATÓ???

Elküldve: csüt. jan. 19, 2006 19:44 

Válaszok: 1554
Megtekintve: 265496

hali! hol kapható: resistor array. soros ellenállások digitális buszra. ilyesmi, nem pont ez: http://www.datasheetarchive.com/semiconductors/download.php?Datasheet=92333 Leginkább valami ssop tokozású lenne jó, szóval nagyon mini kell. Milyen néven nevezik ezt a magyarok? pl 8 darabos, vagy 16 darab...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: csüt. jan. 19, 2006 14:08 

Válaszok: 914
Megtekintve: 145490

nem csak mi vitatkozunk ezen:

http://www.edaboard.com/ftopic98387.html

...ha már linkelgetünk...

ebben a fórumban részletesebben foglalkoznak a témával, és nagyon-nagyon sokan járnak oda hozzáértők. bár nem mindig válaszolnak (használhatót).

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szer. jan. 18, 2006 19:37 

Válaszok: 914
Megtekintve: 145490

alkalmazás: szerintem akkor van értelme fpga/cpld alkalmazásnak, ha pl: -processzorhoz perifériát akarsz létrehozni -meglévő külső periférát illeszteni. (pl ethernet) -szoft-processzoros rendszert akarsz, külön ic-k helyett. -busz-híd, pl PCI-ISA híd -processzor nélküli vezérlést->állapotgép, CPLD-n...

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szer. jan. 18, 2006 17:31 

Válaszok: 914
Megtekintve: 145490

ismeritek a protelt (altium, nexar)?
azzal simán kapcsolási rajzot lehet rajzolni, mintha nyákot terveznétek. csak fpga-ra/cpld-re is le lehet tölteni. perszer a használt alkatrészeket az fpga-s könyvtárból kell venni.

a chipcad-nél már 1000Ft-ért lehet venni egy kisebb CPLD-t.

 Fórum: elektro   Téma: FPGA programozás

Elküldve: szer. jan. 18, 2006 17:17 

Válaszok: 914
Megtekintve: 145490

akik a BME-n tanulták, azok verilogot tanultak. (ki tudja miért...) Utána meg már nem akarták a VHDL-t megtanulni. Én VHDL-t javaslom, mert nem véletlenül elterjedtebb. persze ha csak egy flip-flopból álló kütyüket csinálsz, arra lehet jobb a verilog. Egy VGA vezérlőt, vagy busz interfészt szerintem...

 Fórum: elektro   Téma: RCA kompozit video

Elküldve: szer. jan. 18, 2006 17:06 

Válaszok: 20
Megtekintve: 3480

nem lesz dobozban, de lesz földréteg.

 Fórum: elektro   Téma: RCA kompozit video

Elküldve: szer. jan. 18, 2006 16:35 

Válaszok: 20
Megtekintve: 3480

ok marad a műanyag.

úgy értettem, hogy ahol csatlakozik, ott még árnyékolt, csak a hátulja nem. a hátulján a szabadban megy egy fémdarab, a panelig.
pl. ez:
http://www.hqvideo.hu/page.php?skel=sub ... acklevel=1
Rendezés:  
Oldal: 1 / 8 [ 351 találat ]



Ugrás:  
cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group.
Designed by ST Software for PTF.
Magyar fordítás © Magyar phpBB Közösség