Megválaszolatlan hozzászólások | Aktív témák Pontos idő: pén. jún. 07, 2024 0:23

908 találat
Keresés a találatokban:

Keresés 
Szerző Üzenet

 Fórum: elektro   Téma: usb 2.0

Elküldve: vas. jan. 27, 2008 20:49 

Válaszok: 68
Megtekintve: 11000

Akkor Te a PC oldali programozást megúszod.

 Fórum: elektro   Téma: usb 2.0

Elküldve: vas. jan. 27, 2008 19:00 

Válaszok: 68
Megtekintve: 11000

Írd meg a hibát Minchnek, hátha kapsz tőle egy szóbeli hátbaveregetést. :D

 Fórum: elektro   Téma: usb 2.0

Elküldve: vas. jan. 27, 2008 12:29 

Válaszok: 68
Megtekintve: 11000

Mik a difik a verziók között. Már olyan rég foglalkoztam vele, hogy elfelejtettem. Azt tudom, hogy nekem a 4-est kellett használni.

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: vas. jan. 27, 2008 12:02 

Válaszok: 7756
Megtekintve: 848669

A soft-mute szerintetek mit jelent? Zajzár????

Olvasom az FM tuner chipek adatlapjait és általában van hard-mute, ami ugyebár elnémítja a kimenetet minden esetben, viszont nekem kellene az, hogy ha nincs vétel, akkor ne sisteregjen, hanem csend legyen. Ez a soft-mute :?:

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: szomb. jan. 26, 2008 11:16 

Válaszok: 7756
Megtekintve: 848669

Jé, milyen antialkoholista csapat van itt.

Én is szilveszterkor megiszok egy fél pohár pezsgő és azzal le van tudva egy évig az alkoholfogyasztás. :D

 Fórum: elektro   Téma: ADOK-VESZEK-CSERÉLEK

Elküldve: pén. jan. 25, 2008 11:27 

Válaszok: 1465
Megtekintve: 574040

Látott már valaki FM rádió modult? Olyan szerkezet kellene nekem, mint pl. a gsm modulok, azaz egy kártya (nem dobozolt, hangszoró se kell, csak egy fülhallgató kimenet) és parancsokkal lehet vezérelni. Lehet SPI, I2C vagy bármilyen buszos. Tehát olyasmire gondolok, hogy pl. SET 103,5 parancsra ráál...

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: pén. jan. 25, 2008 11:21 

Válaszok: 7756
Megtekintve: 848669

Látott már valaki FM rádió modult? Olyan szerkezet kellene nekem, mint pl. a gsm modulok, azaz egy kártya (nem dobozolt, hangszoró se kell, csak egy fülhallgató kimenet) és parancsokkal lehet vezérelni. Lehet I2C, SPI, vagy bármilyen buszos. Tehát olyasmire gondolok, hogy pl. SET 103,5 parancsra ráá...

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. jan. 23, 2008 18:31 

Válaszok: 155
Megtekintve: 24251

Nekem a 8.00-ás MPLAB van fent és a 3.14-es C18. Nincs crackelve, nem kell nekem az extended instruction set. (jobb nélküle) Ennyi a tesztkód, ez lefut hibátlanul. (ha az endpoint helyére számot írok, akkor is jó) #include <p18f2620.h> #include <usart.h> #pragma udata section3=0x400 unsigned char BD...

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. jan. 23, 2008 16:46 

Válaszok: 155
Megtekintve: 24251

3.14 van.

Akkor nem sietek letölteni az újat.

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. jan. 23, 2008 15:46 

Válaszok: 155
Megtekintve: 24251

Nekem ez megy. Amúgy ez a Microchipes firmware része, vagy te írod?

Nekem jobban tetszik a Minch féle végpont elérés. Az egyszerű és áttekinthető.

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. jan. 23, 2008 13:18 

Válaszok: 155
Megtekintve: 24251

3 lehetőség van:
-szándékosan tiltják, hogy kifejezéseket használj (ekkor illene egyértelmű hibaüzenetben közölni ezt)
-apró bug (nem gondolták, hogy ide számérték helyett valaki mást fog írni)
-valamit nem vagy nem jól tudunk

2/3 a valószínűsége annak, hogy a Microchip a hibás :D

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. jan. 23, 2008 12:40 

Válaszok: 155
Megtekintve: 24251

Most megállt a tudomány, mert kipróbáltam és nekem is ugyanezt csinálja. Próbáltam azt is, hogy #define myarea 0x500+USB_MAX_EP0_PACKET_LENGTH #pragma udata mysect= myarea de ugyanaz. Csak konstans számértéket fogad el. Tehát vagy beírod a 0x540-et, vagy ha nagyon elakadok, akkor fel szoktam menni a...

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. jan. 23, 2008 11:38 

Válaszok: 155
Megtekintve: 24251

igen

 Fórum: elektro   Téma: Nyák Gyártás egyszerűen és HÁZILAG

Elküldve: szer. jan. 23, 2008 11:36 

Válaszok: 1999
Megtekintve: 303781

Én megvárom, míg forró lesz a vasaló és kb. 3-5 percig valalom a nyákot. Nem szoktam élével vasalni, nem brutál erősen, de határozottan rányomom a vasalót és lassan körkörös mozdulatokkal rávasalom. Közel 100% -ban jó a végeredmény.

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. jan. 23, 2008 11:15 

Válaszok: 155
Megtekintve: 24251

Linker script > protected

Nézz bele az lkr fájlokba. Én így szoktam csinálni. Ebben az esetben a project mappába bemásolom az adott pichez tartozó lkr fájlt, azt módosítom és megadom MPLAB-ban azt használja.

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: szer. jan. 23, 2008 10:51 

Válaszok: 7756
Megtekintve: 848669

Régebben én is minden vacot őzizgettem, csoportosítgattam, ..., aztán rájöttem, hogy felesleges. Töröltem őket. Gyorsabban megtalálom a neten, mint a gépen, vagy CD-n, így évek óta nem tárolok adatlapokat. (van néhány kivétel, amit érdemes eltenni, de ez max. 5%)

 Fórum: elektro   Téma: PIC kérdések

Elküldve: szer. jan. 23, 2008 10:30 

Válaszok: 6769
Megtekintve: 892395

gozi írta:
ez az Algol nyelv. :D

Algolt még nem tanultam, valószínűleg már nem is fogok, de most kiváncsiságból összehasonlítottam egy algol forráskóddal és szerintem ez csak nagyon hasonló, de nem tiszta Algol. Tehát van benne Algol is :D
(ha tévednék, akkor sorry)

 Fórum: elektro   Téma: PIC kérdések

Elküldve: kedd jan. 22, 2008 13:53 

Válaszok: 6769
Megtekintve: 892395

Na ilyen nyelvet nem szabad tanulni. Se pascal, se basic, se C, csak turmix. procedure div_rem( bit in x, bit in y bit out d, bit out r ) is if y == 0 then -- what to do? else r = x d = 0 while r > y loop d = d + 1 r = r - y end loop end if end procedure Ez egy vicckód.

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: vas. jan. 13, 2008 18:23 

Válaszok: 7756
Megtekintve: 848669

Annyira nekem se jön be az USB. Az indokoltnál bonyolultabbnak találom sw oldalról. Nem tetszik, hogy a legegyszerűbb kommunikáció is 20-30 oldal C kódot igényel. Nagyon sok megkötés van, korlátok, macerás... Már néhány kommunikációt leprogramoztam, de az összes többivel nem szívtam annyit, mint az ...

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: csüt. jan. 10, 2008 10:15 

Válaszok: 7756
Megtekintve: 848669

Láttátok már ezt a spenót sorozatot, van több része is:
http://www.youtube.com/watch?v=Y9GHkXP7WH4

Nagyon nagy :D

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: csüt. jan. 10, 2008 2:09 

Válaszok: 7756
Megtekintve: 848669

(nagyon off még az offtopicba is)

http://zeitgeistmovie.com/
Hungarian subtitles alatt magyar felírattal jön a film.
3 témát feszeget, de nem kommentálom a filmet. Aztán mindenki döntse el maga, hogy a látottakból mit von le.

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: kedd jan. 01, 2008 20:57 

Válaszok: 7756
Megtekintve: 848669

Na, most nem nyugszom. :D Így néz ki egy orosz billentyűzet. http://www.privyet.org.uk/images/webpickeyboard.jpg Ez oké, ezzel lehet cirill és latin betűket is pötyögni. De a szerbet még mindig nem értem, mert a honlapok elég nagy része cirill betűs. Ilyen vegyesbillentyűzetet használnak, mint a kép...

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: kedd jan. 01, 2008 14:03 

Válaszok: 7756
Megtekintve: 848669

Nem egyszerű... :D
És billentyűzetet is van aki cirill, van aki latin betűset használ? Mert ha igen, akkor nem egyszerű csak úgy odaülni egy géphez.

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: kedd jan. 01, 2008 11:03 

Válaszok: 7756
Megtekintve: 848669

potyo írta:
Svima želim srećnu novu godinu :!:

Szerbiában cirill betűkkel írnak, nem?

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: szer. dec. 19, 2007 18:31 

Válaszok: 3773
Megtekintve: 669391

C55 függetlenítéssel küzdök. Valamiért nem megy. Freia nevű szoftvert használnék. A telefont kikapcsolom, rádugom a soros portra és mikor rányomok az unlockra, hiába nyomom meg a kikapcs gombot a telefonon egy pillanatra, (mint az M50-en), nem megy az unlock. Egyébként adatkábelként használható a ká...

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: vas. dec. 16, 2007 11:06 

Válaszok: 318
Megtekintve: 63907

Érdekes, hogy a TC35 modul és terminál között van bő 10k árkülönbség. Azért, mert betették egy műanyagdobozba és hozzátettek még egy MAXot, meg egy antennát? Viszont ha csak modult vettél, akkor még azzal van munka bőven.
(valahogy nekem a dobozolás nem az erősségem)

 Fórum: elektro   Téma: PIC kérdések

Elküldve: vas. dec. 16, 2007 10:59 

Válaszok: 6769
Megtekintve: 892395

Van egy eprom topic, abban van szó a Willemről. http://forum.terminal.hu/viewtopic.php?t=26585 Nekem van Willem, szerintem a 4.1-est érdemes építeni, de ahogy Potyo is említette, az univerzális programozók szokszor nem annnyira profik az adott területen, mint egy kimondottan arra a célra szánt égető...

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: szomb. dec. 15, 2007 11:32 

Válaszok: 3773
Megtekintve: 669391

Akoska írta:
A felkiáltójeltől eltekintve a telefon működik az adott hálózaton?
Nem

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: pén. dec. 14, 2007 21:22 

Válaszok: 3773
Megtekintve: 669391

Igen, ez egy Siemens C55. Megpróbálok egyezkedni az eladóval, remélem, beismeri, hogy nem hibátlan telefont adott. Azért vadászom ezeket a régi Siemenseket, mert van több GSM (SMS) alapú távvezérlős/riasztós/felügyeleti rendszeres munkám és ezeket könnyű vezérelni. Szimpatikusabb lenne kimondottan e...

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: pén. dec. 14, 2007 10:26 

Válaszok: 3773
Megtekintve: 669391

Pontosan mit jelent az, amikor egy telefon felkiáltójellel írja ki a hálózat nevét? Pl. !H PANNON GSM

Van egy telefonom, ami folyamatosan ezt csinálja, bárhová állok.

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: szer. dec. 12, 2007 18:02 

Válaszok: 3773
Megtekintve: 669391

Kösz, már megcsináltam.

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: szer. dec. 12, 2007 16:38 

Válaszok: 132
Megtekintve: 23839

Kösz! Vacak utángyártott kábel szórakozott velem, de már beszereztem egy eredetit és működik.

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: kedd dec. 11, 2007 15:27 

Válaszok: 132
Megtekintve: 23839

Ez a fajta az M50. http://pinouts.ru/CellularPhones-P-W/siemens_c25_s25_pinout.shtml Az adatkábellel nincs gond, azt tudom, hogy GND, TX, RX azaz 1,5,6 láb van bekötve. De most unlockolni kellene és úgy emlékszem, ezt nem adatkábellel csináltam. Vagy a GPRS nethez kell másfajta kábel? Már rég függet...

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: kedd dec. 11, 2007 15:22 

Válaszok: 3773
Megtekintve: 669391

majd kábelesen megoldom akkor
kb. 6 éves telefon, a telefon ér kb. 2500-at :D

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: kedd dec. 11, 2007 11:56 

Válaszok: 132
Megtekintve: 23839

Nincs valakinek M(T)50 szervizkábele? Jó volna tudni a bekötését, mert van egy kábelem itthon, ami gyanítom, hogy szervizkábel, csak régebben leszereltem a telefonba menő csatlakozóját és most nem tudom, melyiket hova kell kötni. Vagy ha billentyűzetről menne az unlock, az még jobb lenne. :D

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: kedd dec. 11, 2007 11:52 

Válaszok: 3773
Megtekintve: 669391

Neten láttam ilyen oldalakat. Az a baj, hogy régebben volt hozzá szervizkábelem, de már nem találom. Illetve találtam egy gyanús kábelt, de leszereltem a csatlakozóját régebben és nem tudom a bekötését. Nem tudja valaki a bekötését?(milyen szinű vezeték melyik lábra megy?)

 Fórum: mobil   Téma: Apró kérdések topicja

Elküldve: kedd dec. 11, 2007 11:14 

Válaszok: 3773
Megtekintve: 669391

Siemens M(T)50 és C55 telefonokat hogy lehet billentyűzetről függetleníteni?

 Fórum: elektro   Téma: OFFOLÓS TOPIK

Elküldve: kedd dec. 04, 2007 14:54 

Válaszok: 7756
Megtekintve: 848669

Én is végigolvastam az oldalat, nagyon "ütős", vagy minek is nevezzem. Hatásosak a bibliai idézetek, fekete humor, és ami különösen tetszik, hogy megmagyarázza a szavak jelentését. Rengeteg szláv, latin, görög, szó van benne, amit nem elég ismerni(szótárból), tudni kell az eredetét (mitoló...

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: szer. nov. 28, 2007 17:57 

Válaszok: 132
Megtekintve: 23839

Köszönöm a tippeket, tanácsokat!

 Fórum: elektro   Téma: GSM-SmS alarm

Elküldve: szer. nov. 28, 2007 17:55 

Válaszok: 318
Megtekintve: 63907

Eddig Siemens telefonokat használtam, nem rosszak, de igényesebb helyekre nem az igazi. Jobban örülnék valami olyan szerkezetnek, mint pl. a gsm terminál (Siemens TC35i), amiben szépen dobozolva benne van minden és egy RS232 (vagy valami szabványos) elérése van. Normálisan meg lehet oldani a tápellá...

 Fórum: Internet   Téma: ICE DSL az első igazán alternatív ADSL-szolgáltató

Elküldve: szer. nov. 28, 2007 10:23 

Válaszok: 239
Megtekintve: 87352

Icedsl kódot tudok én is adni, akit érdekel a felezős akció, az küldjön e-mailt!

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: hétf. nov. 26, 2007 20:19 

Válaszok: 132
Megtekintve: 23839

Azon gondolkozom még, hogy hogyan lehetne becsapni a telefont úgy, hogy kiveszem az akkumlátort, rádugom a töltőre és úgy lássa, hogy van benne akku. Akku nélkül nem idul. Igazán csak attól tartok, hogy esetleg szétfolyik az akku a sok töltéstől, vagy ilyesmi. Vagy ettől nem kell tartani? Állandó üz...

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: hétf. nov. 26, 2007 16:40 

Válaszok: 132
Megtekintve: 23839

Lithium ion akku, 3,7V van ráírva. Fullra feltölve 4,1-4,2V-ot mérek. Siemens M50. Ha jól értem, akkor a telefon akkuérintkezőire drótoztad az adott feszültségen tartó elektronikát és az megy rá az akkumlátorra? Ebben az esetben nekem kb. 4,1V-on kellene tartani? (akkumlátorokhoz nem igazán értek) P...

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: hétf. nov. 26, 2007 9:44 

Válaszok: 132
Megtekintve: 23839

Úgy emlékszem, hogy utángyártott akkumlátorral tapasztaltam ilyet, hogy feltöltődött és utána néhány óra múlva kikapcsolt a telefon.

 Fórum: elektro   Téma: Siemens telefon vezérlése!..?

Elküldve: vas. nov. 25, 2007 15:08 

Válaszok: 132
Megtekintve: 23839

Szerintetek az mennyire frankó, ha töltőn lesz non-stop a telefon? Olyan helyre kellene, ahol mindig van áram, tehát feleseleges külső akku. Azt tudom, hogy az akkumlátort kinyírja a folyamatos töltés, de már amúgy is gyenge az akku, így ez nem érdekes.

 Fórum: elektro   Téma: Eprom

Elküldve: csüt. nov. 22, 2007 14:16 

Válaszok: 100
Megtekintve: 14495

Én szoktam néha autószerelő ismerősöknek gyártani ilyen cuccokat. De általában ők adják az infót én csak megépítem nekik.

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. nov. 21, 2007 10:12 

Válaszok: 155
Megtekintve: 24251

Szóval tömören az 1-es és 4-es verziót elég megérteni, gyakorlatilag nem kell több.
(csak akkor van bibi, ha pl. benézünk a C18 header filékbe, mert ott is gyakran használnak összetettebb formulákat, mert ott még egymásba is ágyazzák a struktúrákat, úniókat és bitmezőket. Na az még egy gyönyörűség.)

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. nov. 21, 2007 10:03 

Válaszok: 155
Megtekintve: 24251

Pl. úgy tudsz több változót definíálni, hogy: struct{ unsigned b0:1; unsigned b1:1; unsigned b2:1; // ... unsigned b7:1; }bool1,bool2, ...; A kettes példa esetén ahogy írtad, úgy is, vagy egyszerűen, struct BOOL bool1, bool2, ...; A hármas esetén úgy, mint az elsőnél. Namost a BOOL az nem ...

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. nov. 21, 2007 8:01 

Válaszok: 155
Megtekintve: 24251

Vannak, akik a régies jelölést használják, lehet így is: struct BOOL{ unsigned b0:1; unsigned b1:1; unsigned b2:1; // ... unsigned b7:1; }; struct BOOL bool; vagy így is: struct BOOL{ unsigned b0:1; unsigned b1:1; unsigned b2:1; // ... unsigned b7:1; }bool; De ha nem akarsz típus...

 Fórum: elektro   Téma: PIC ! C18 ! @ programozás C nyelven

Elküldve: szer. nov. 21, 2007 7:56 

Válaszok: 155
Megtekintve: 24251

Kód:
#include <p18f2620.h>

struct{
   unsigned b0:1;
   unsigned b1:1;
   unsigned b2:1;
//   ...
   unsigned b7:1;
}bool;

void main(void){
 char x;
   bool.b0=1;
   bool.b2=1;
   if (bool.b1) x++;
}
Rendezés:  
Oldal: 3 / 19 [ 908 találat ]



Ugrás:  
cron
Powered by phpBB © 2000, 2002, 2005, 2007 phpBB Group.
Designed by ST Software for PTF.
Magyar fordítás © Magyar phpBB Közösség